У дома Напредничаво мислене Предизвикателствата при създаването на чипове са изправени пред закона на Мур

Предизвикателствата при създаването на чипове са изправени пред закона на Мур

Видео: Маленькое королевство Бена и Холли - Вылазка ⭐Лучшие моменты (Септември 2024)

Видео: Маленькое королевство Бена и Холли - Вылазка ⭐Лучшие моменты (Септември 2024)
Anonim

На всеки няколко години има истории за това как законът на Мур - концепцията, че броят на транзисторите в дадена област се удвоява на всеки две години или повече - умира. Подобни истории има от десетилетия, но все още продължаваме да виждаме нови чипове с повече транзистори на всеки няколко години, почти по график.

Например през февруари Intel представи 4, 3-милиарден транзисторен чип, наречен Xeon E7v2 или Ivytown на 541 квадратен милиметър, използвайки своя 22 nm процес. Преди десетилетие Xeon от висок клас на Intel, известен като Gallatin, беше 130 nm чип с 82 милиона транзистора на 555 квадратни милиметра. Това не е съвсем в крак с удвояването на всеки две години, но е близо.

Разбира се, това не означава, че ще продължи да работи завинаги и всъщност чипмейкването преминава през големи промени, които засягат както производството, така и дизайна на чиповете, и всичко това ще има трайно въздействие върху потребителите.

Най-очевидно отдавна е ясно, че тактовите скорости не стават по-бързи. В крайна сметка Intel представи чипове Pentium през 2004 г., които работеха на 3.6 GHz; днес компанията Core i7 от най-висок клас работи на 3, 5 GHz с максимална турбо скорост от 3, 9 GHz. (Разбира се, има хора, които овърклок, но това винаги е било така.)

Вместо това дизайнерите реагираха, като добавиха повече ядра към чиповете и повишиха ефективността на всяко отделно ядро. Днес дори чипът от най-ниския клас, който можете да получите за десктоп или лаптоп, е двуядрен чип, а четириядрените версии са нещо обичайно. Дори и в телефоните сега виждаме много четворни и дори окта-ядрени части.

Това е чудесно за стартиране на няколко приложения едновременно (многозадачно) или за приложения, които наистина могат да се възползват от множество ядра и нишки, но повечето приложения все още не правят това. Разработчиците - особено тези, които създават инструменти за разработчици - са прекарали много време, за да могат приложенията им да работят по-добре с множество ядра, но все още има много приложения, които зависят най-вече от работата с едно резба.

В допълнение, разработчиците на процесори поставят много повече графични ядра и други специализирани ядра (като тези, които кодират или декодират видео, или криптират или дешифрират данни) в рамките на процесор на приложения, в голяма част от индустрията, наречена разнородна обработка. AMD, Qualcomm и MediaTek настояват за тази концепция, което има много смисъл за някои неща. Със сигурност помага за интеграцията - прави чиповете по-малки и по-малко издържани на мощност; и изглежда, че има перфектен смисъл в мобилните процесори - като например подходът big.LITTLE, който ARM е използвал там, където комбинира по-мощни, но по-мощни ядра с тези, които отнемат само малко мощност. За много от нас получаването на чипове, които използват по-малко енергия за една и съща производителност - и следователно мобилни устройства, които продължават по-дълго при зареждане на батерията, е голяма работа.

Използването на огромен брой ядра - било то графични ядра или специализирани x86 ядра - със сигурност оказва огромно влияние върху високоефективните изчисления, където неща като платките на Tesla на Nvidia или Xeon Phi (Knight's Corner) на Intel оказват огромно влияние. Всъщност повечето от топ суперкомпютрите днес използват един от тези подходи. Но тя все още работи само за определени видове приложения, предимно за приложения предимно за приложения, които използват команди SIMD (единична инструкция, множество данни). За други неща този подход не работи.

И не само че чиповете не могат да работят по-бързо. От страна на производството има и други пречки за поставянето на повече транзистори на матрица. През последното десетилетие видяхме всевъзможни нови техники за създаване на чипс, преминавайки от традиционната смес от силиций, кислород и алуминий към нови техники като "напрегнат силиций" (където инженерите разтягат силициевите атоми), заменяйки порти с висококачествени / метални материали за портата и най-скоро се движат от традиционните равнинни врати към 3-D порти, известни като FinFETs или "TriGate" в езика на Intel. Първите две техники вече се използват от всички модерни производители на чипове, като лесничействата планират да въведат FinFET през следващата година или след това, след въвеждането на Intel от 2012 г.

Една алтернатива се нарича FD-SOI (напълно изчерпан силикон върху изолатора), техника, която ST Microelectronics по-специално е натиснала, която използва тънък изолационен слой между силиконовия субстрат и канала, за да осигури по-добър електрически контрол на миниатюрни транзистори, в теория, осигуряваща по-добра производителност и по-ниска мощност. Но засега изглежда, че няма почти инерция от големите производители, които имат FinFET.

Напоследък Intel прави голяма работа колко далеч напред е в създаването на чипове и наистина започна да доставя обемно производство на своите основни микропроцесори по своя 22 nm процес с технологията TriGate преди около две години и планира да доставя 14 nm продукти през втората половина от тази година. Междувременно големите леярни за чипове планират 20 nm производство в обем по-късно тази година, използвайки традиционните равнинни транзистори, с 14 или 16 nm продукти с FinFETs, планирани за следващата година.

Intel показва слайдове, показващи колко далеч напред е по плътността на чиповете, като този от аналитичния си ден:

Но леярите не са съгласни. Ето слайд от последното повикване на инвеститорите на TSMC, казвайки, че може да запълни празнината през следващата година.

Очевидно само времето ще покаже.

Междувременно получаването на по-малки размери на матриците е по-трудно с традиционните инструменти за литография, използвани за ецване на линиите в силиконовия чип. Имерсионната литография, която индустрията използва от години, достигна лимита си, така че сега продавачите се обръщат към "двойно рисуване" или дори повече проходи, за да получат по-фини размери. Въпреки че напоследък забелязваме известен напредък, дългоочакваният ход към екстремната ултравиолетова (EUV) литография, който би трябвало да предлага по-добър контрол, остава на години.

Неща като FinFET и многократно рисуване помагат да се направи следващото поколение чипове, но при увеличаване на разходите. Всъщност редица анализатори твърдят, че цената на транзистор на производство при 20 nm може да не е подобрение спрямо цената при 28 nm, поради необходимостта от двойно моделиране. А новите структури като FinFET вероятно също ще бъдат по-скъпи, поне в началото.

В резултат на това много производители на чипове търсят още по-екзотични методи за подобряване на плътността, дори ако традиционните техники на закона на Мур не работят.

NAND флаш паметта използва най-модерната технология на процесите, така че вече среща сериозни проблеми с конвенционалното хоризонтално мащабиране. Решението е да създадете вертикални NAND низове. Отделните клетки от паметта няма да бъдат по-малки, но тъй като можете да подреждате толкова много една върху друга - всички на един и същ субстрат - получавате много по-голяма плътност в един и същ отпечатък. Например, 16-слоен 3D NAND чип, произведен по 40 nm процес, ще бъде приблизително еквивалентен на конвенционален 2D NAND чип, направен по 10 nm процес (най-напредналият процес в употреба сега е 16 nm). Samsung казва, че вече произвежда своя V-NAND (Vertical-NAND), а Toshiba и SanDisk ще последват това, което нарича p-BiCS. Micron и SK Hynix също разработват 3D NAND, но изглежда са фокусирани върху стандартната 2D NAND за следващите няколко години.

Обърнете внимание, че това не е същото нещо като подреждането на 3D чипове. DRAM паметта също удря мащабираща стена, но има различна архитектура, която изисква по един транзистор и един кондензатор във всяка клетка. Решението тук е да подреждате множество готови чипове от DRAM памет един върху друг, да пробивате дупки през субстратите и след това да ги свързвате, използвайки технология, наречена чрез-silicon-vias (TSVs). Крайният резултат е същият - по-голяма плътност при по-малък отпечатък, но това е по-скоро усъвършенстван процес на опаковане, отколкото нов процес на производство. Промишлеността планира да използва същата техника за подреждане на паметта на върха на логиката, не само за подрязване на отпечатъка, но и за подобряване на производителността и намаляване на мощността. Едно решение, което привлече много внимание, е хибридният куб на паметта на Micron. В крайна сметка 3D подреждането на чипове може да се използва за създаване на мощни мобилни чипове, които комбинират процесори, памет, сензори и други компоненти в един пакет, но все още има много проблеми за разрешаване с производството, тестването и работата на тези така наречени разнородни 3D стекове.

Но това е следващото поколение техники, за които са говорили производителите на чипове, които изглеждат много по-екзотични. На конференции с чипове чувате много за насоченото самосглобяване (DSA), при което новите материали всъщност ще се съберат в основния модел на транзистора - поне за един слой чип. Звучи малко като научна фантастика, но познавам редица изследователи, които смятат, че това изобщо не е далеч.

Междувременно други изследователи разглеждат клас от нови материали - известни като III-V полупроводници в по-традиционните стилове на производство; докато други разглеждат различни полупроводникови структури, за да допълнят или заменят FinFET, като например нанопроводници.

Друг метод за намаляване на разходите е да се правят транзистори на по-голяма вафла. Индустрията е преминала през такива преходи, преди да премине от 200 мм вафли до 300 мм вафли (диаметър около 12 инча) преди около десетилетие. Сега много се говори за преминаване към 450 мм вафли, като повечето от големите производители на вафли и доставчиците на инструменти създават консорциум за разглеждане на необходимите технологии. Такъв преход трябва да намали производствените разходи, но ще доведе до високи капиталови разходи, тъй като ще изисква нови фабрики и ново поколение инструменти за производство на чипове. Intel има завод в Аризона, който ще може да произвежда 450 мм, но закъснява с поръчката на инструментите и много от продавачите на инструменти също закъсняват с предлагането си, което прави вероятността първото реално производство на 450 мм вафли да бъде до 2019 или 2020 най-рано.

Изглежда, че става все по-трудно и по-скъпо. Но това се отнася за производството на полупроводници от самото начало. Големият въпрос винаги е дали подобренията в производителността и допълнителната плътност ще струват допълнителните разходи при производството.

ISSCC: Разширяване на закона на Мур

Как да разширим закона на Мур беше основна тема на международната конференция за твърди тела на миналия месец (ISSCC). Марк Хоровиц, преподавател от университета в Станфорд и основател на Rambus, отбеляза, че причината, по която днес правим изчисления, е, че изчисленията станаха евтини, поради закона на Мур и правилата на Dennard за мащабиране. Това доведе до очаквания, че изчислителните устройства ще стават все по-евтини, по-малки и по-мощни. (Станфорд планира работата на процесорите във времето на cpudb.stanford.edu).

Но той отбеляза, че тактовата честота на микропроцесорите спря мащабиране около 2005 г., защото плътността на мощността се превърна в проблем. Инженерите достигнаха реална граница на мощност - тъй като те не можеха да направят чиповете по-горещи, така че сега всички изчислителни системи са ограничени по мощност. Както отбеляза, мащабирането на мощността - напрежението на захранването - се променя много бавно.

Първата склонност на индустрията да реши този проблем е да промени технологията. "За съжаление не съм оптимист, че ще намерим технология, която да замени CMOS за изчислителни технологии", каза той, както по технически, така и по икономически проблеми. Единственият начин да се увеличат операциите в секунда, е да се намали енергията на операция, каза той, като предположи, че затова днес всеки има многоядрени процесори, дори и в мобилните си телефони. Но проблемът е, че не можете да продължите да добавяте ядра, тъй като бързо уцелите точка на намаляваща възвръщаемост по отношение на енергията на производителността и площта на умрелите. Дизайнерите на процесора знаят за това от известно време и отдавна оптимизират процесорите.

Хоровиц каза, че не трябва да забравяме за енергията, използвана от паметта. В своята презентация той показа разбивката на енергията за текущ неидентифициран 8-ядрен процесор, в който ядрата на процесора използваха около 50 процента от енергията, а паметта на матрицата (L1, L2 и L3 кеш) използва останалите 50 процента, Това дори не включва външната DRAM системна памет, която в крайна сметка може да бъде 25 процента от повече от общото потребление на енергия в системата.

Много хора говорят за използването на специализиран хардуер (като ASIC), който може да бъде хиляди пъти по-добър по отношение на енергия на операция в сравнение с процесор с общо предназначение. Но както отбеляза Хоровиц, ефективността тук идва отчасти, тъй като се използва за конкретни приложения (като обработка на модем, обработка на изображения, компресия на видео и декомпресия), които по принцип нямат много достъп до паметта. Ето защо той помага толкова много с енергия - не е толкова за хардуера, а за преместването на алгоритъма в много по-ограничено пространство.

Лошата новина е, че това означава, че приложенията, които можете да изградите, са ограничени. Добрата новина е, че може да успеете да изградите по-общ двигател, който да може да обработва тези видове приложения с "висока локалност", което означава, че те не се нуждаят от достъп до паметта. Той се отнася до това като Моделът на високо локално изчисление и "приложенията за трафарет", които могат да работят върху него. Това, разбира се, изисква нов модел на програмиране. Stanford е разработил език, специфичен за домейна, компилатор, който може да изгражда тези приложения за шаблон и да ги изпълнява на FPGA и ASIC.

Също по време на конференцията на ISSCC Минг-Кай Цай, председател и изпълнителен директор на MediaTek, каза, че хората питат от началото на 90-те години колко всъщност ще продължи законът на Мур. Но както Гордън Мур каза в ISSCC през 2003 г., "Никоя експоненциална не е вечна. Но можем да я забавим завинаги." Индустрията свърши чудесна работа, поддържайки закона на Мур повече или по-малко, каза той. Цената на транзистора продължи историческия си спад. За цената на 100 грама ориз (около 10 цента) през 1980 г. можете да закупите само 100 транзистора, но до 2013 г. можете да купите 5 милиона транзистора.

Цай заяви, че мобилните устройства са ударили таван, защото процесорите не могат да работят ефективно при скорост над 3 GHz и защото технологията на батериите не се е подобрила много. MediaTek работи по този проблем, използвайки многоядрени процесори и разнородни мултипроцесори (HMP). Той каза, че компанията е представила първия истински 8-ядрен HMP процесор през 2013 г., а по-рано тази седмица обяви 4-ядрен процесор, използвайки своята PTP (Performance, Thermal и Power) технология за допълнително повишаване на производителността и намаляване на мощността. Той говори и за бързия напредък в свързаността. Много мобилни приложения, които преди бяха невъзможни, сега са жизнеспособни поради тези подобрения в WLAN и WWAN мрежите, каза той.

MediaTek работи по различни технологии за „Облак 2.0“, включително безжични решения за зареждане, SoC „Aster“ за носими (с размери само 5, 4x6, 6 милиметра) и хетерогенни системи като част от HSA Foundation, каза той. Според Цай облак 2.0 ще се характеризира с много повече устройства - по-специално носими - с много повече радиостанции; повече от 100 радиостанции на човек до 2030г.

Големите предизвикателства за Cloud 2.0 ще бъдат енергията и честотната лента, каза Цай. Първият ще изисква иновативни интегрирани системи, хардуерни и софтуерни решения; по-добра технология на батерията; и някаква форма на събиране на енергия. Второто ще изисква по-ефективно използване на наличния спектър, адаптивни мрежи и по-надеждна свързаност.

Каквото и да се случи с вземането на чипове, със сигурност ще доведе до нови приложения и нови решения, пред които ще се изправят производителите на чипове, продуктовите дизайнери и в крайна сметка крайните потребители.

Предизвикателствата при създаването на чипове са изправени пред закона на Мур