У дома Напредничаво мислене Обещанията и предизвикателствата на euv в глобалните граници

Обещанията и предизвикателствата на euv в глобалните граници

Съдържание:

Видео: Dame Tu cosita ñ (Септември 2024)

Видео: Dame Tu cosita ñ (Септември 2024)
Anonim

Една от причините, поради която бях толкова заинтригувана да посетя GlobalFoundries по-рано този месец, беше възможността да видя машина за литография на EUV и да чуя как фирмата планира да я използва.

Не много отдавна имах възможност да посетя фабрика в Кънектикът, където ASML изгражда много от компонентите за такава машина на EUV. Тези огромни инструменти използват екстремна ултравиолетова (EUV) светлина, светеща чрез маска за очертаване на линиите за много малки характеристики на чипове, и са едни от най-сложните машини в света. Те са проектирани да заемат мястото на сега стандартните машини за потапяща литография, които използват светлина с дължина на вълната 193 nm в някои слоеве от процеса на изработка на чипове.

За да обобщим, една EUV машина е невероятно сложна. Както обясни Джордж Гомба, вицепрезидент по технологични изследвания за GlobalFoundries, процесът започва с 27-киловаттен CO2 лазер, който се изстрелва чрез система за транспортиране на лъчи и фокусиране върху малки калаени капки (с диаметър около 20 микрона), произведени от капков генератор в плазмен съд. Първият импулс изравнява капчицата, а вторият я изпарява, създавайки лазерно произведена плазма (LPP). EUV фотоните, излъчвани от плазмата, се събират от специално огледало, което отразява 13.5nm светлина с дължина на вълната и излъчването се предава до междинна фокусна точка, където тя влиза в скенера и се проектира чрез маска върху силициевата пластинка. Гомба, който работи в съоръжението в Олбани Нанотех, заяви, че работи с EUV системи за предварително производство от 2013 г. и сега очаква EUV да бъде в пълно производство в GlobalFoundries до втората половина на 2019 г.

Тези инструменти са толкова сложни, че изискват месеци работа, само за да бъдат готови да започнат производството. Във фирмата Fab 8 в Малта, Ню Йорк, видях първите два инструмента EUV, които са инсталирани; единият е почти завършен, а другият е в процес на производство, а има още място за още две.

Получаването на инструментите на EUV в самата сграда беше сложна операция. Основната фабрика беше запечатана първо; след това на тавана е монтиран кран и в страната на сградата е изрязана дупка, за да се движи масивната нова система вътре. Тогава, разбира се, трябваше да се свърже с другите инструменти във фабриката. Това включва работа както в подфабриката, който трябваше да бъде настроен за източника на инструмент, който създава използвания в процеса лазер, така и в самата чиста стая. Всичко това трябваше да бъде направено, докато останалата част от батерията работи на пълна скорост.

Том Каулфийлд, SVP и генерален мениджър на Fab 8, сравни това с „правенето на сърдечна операция по време на маратон“.

Състоянието на EUV - и какво още трябва да се реши

Гари Патън, CTO и SVP на World R&D за GlobalFoundries, заяви, че 7nm ще бъдат рискови за производство на Fab 8 тази година, а пълното производство следващата година, използвайки потапяща литография и четворно моделиране, но не и EUV. Мулти-рисуването отнема повече време, тъй като включва повече стъпки и проблеми могат да възникнат поради много точното подравняване, необходимо на всяка стъпка, но тези инструменти за литография са общи, добре разбрани и готови днес. Планът е по-късно да предложи версия на 7nm процеса, използвайки новите инструменти на EUV.

EUV "не е готов днес", каза Патън, като се позова на проблеми с източника на енергия, устойчивостта на материалите и маските, особено с развитието на правилния пеликул (тънък филм, който преминава над маската или сетча.)

В момента EUV машините не са толкова бързи, като един инженер обяснява, че може да произвежда около 125 вафли на час, в сравнение с около 275 вафли на час за потапяща литография. Те реално могат да спестят време, защото ако процесът намали броя на проходите за многократно рисуване, това не само спестява стъпки в литографията, но и при офорт и подготовка. По този начин EUV всъщност трябва да струва по-малко, за да стартира, когато е готов, каза Коулфийлд.

Гомба отбеляза, че идеята не е просто да се намалят 3 или 4 слоя оптична литография, но също така да се намалят и много други стъпки, тъй като между всеки етап на литография също има офорт и друга обработка на вафлата. Целта, каза Гомба, е да се намали времето за цикъл с до 30 дни.

Точката на кръстосване вероятно е шаблониране на четириъгълник, но много зависи от добива (който трябва да се подобри, тъй като стъпките на литография на EUV трябва да имат по-малка променливост, отколкото многократните стъпки за потапяне на литография) и подобренията във времето на цикъла. EUV също трябва да даде възможност на дизайнерите на чипове да работят при много по-малко рестриктивни условия.

Но той също отбеляза, че остават някои въпроси, които трябва да бъдат решени, особено що се отнася до пеликул. Друг инженер обясни, че 13, 5nm радиацията, използвана от EUV, се абсорбира от почти всичко, така че вътрешността на машината трябва да бъде вакуум. При EUV голяма част от мощността не минава през кутията (маска), а вместо това я загрява. Пеликулът помага да се защити маската, но все пак трябва да се работи за подобряване на количеството светлина, което преминава през пеликула (предаване), както и дълголетието на пеликула. Това от своя страна ще повлияе на производителността, както и на дълголетието на маските и продължителността на работа на цялостната машина.

В резултат на това, каза Патън, първоначално компанията ще предложи 7nm свиване с EUV, което ще се използва най-вече за контакти и vias. Това само по себе си може да осигури плътност от 10 до 15 процента без големи инвестиции в дизайн. Когато проблемите бъдат решени, каза Патън, EUV може и ще се използва в много повече слоеве. (Joel Hruska от ExtremeTech , който също беше на турнето, има повече подробности тук.)

Патън отбеляза, че ASML трябва да получи "огромен кредит" за натискане на EUV, доколкото има, и каза, че това е "невероятен подвиг на инженерството". На въпроса дали GlobalFoundries наистина е ангажиран да прави EUV, Коулфийлд отговори, че фирмата е направила инвестиция в размер на 600 милиона долара, което означава „трябва да го направя“.

FDX и Пътната карта за бъдещо създаване на чипове

В широкообхватна дискусия за това къде се насочва чипмейкът, Патън - който прекара дълга кариера в работа върху чип технологията за IBM - обясни как концепцията се променя, когато стигнем до края на закона на Мур. Той отбеляза, че в първите години на производството на чипове ставаше въпрос за планово мащабиране на силициеви CMOS. След това, от 2000-2010 г., фокусът се насочи към нови материали; сега голяма част от фокуса е върху 3D транзисторите (FinFET, използвани в повечето водещи процеси днес) и 3D подреждането.

До 2020 г., каза той, ще достигнем границите на атомните размери, така че ще трябва да се съсредоточим върху други начини за иновации, включително нови начини за проектиране на транзистори (като нанопроводници, заместващи FinFETs), нови видове субстрати (като "Напълно" Технологията на изчерпване на силикона върху изолатора GlobalFoundries се развива); или нови нива на интегриране на системно ниво (като усъвършенствана опаковка, силиконова фотоника и вградена памет).

GlobalFoundries има две пътни карти, върху които работи, каза Патън. Първата е базирана на настоящата технология FinFET и е предназначена за високоефективни устройства. В GlobalFoundries това означава преминаване от текущия 14nm процес към ревизия на процеса, който той нарича 12nm, а след това по-късно тази година към това, което нарича 7nm. Патън каза, че това трябва да бъде най-подходящо за процесори за мобилни приложения и високопроизводителни процесори и GPUS, като GlobalFoundries обещава до 40 процента подобрение на производителността на устройството и до 60 процента намаление на общата мощност в сравнение с 14 nm процеса. Също толкова непреодолимо, той трябва да намали разходите за умиране с около 30 процента до колкото 45 процента спрямо предишното поколение.

В тази част от пътната карта GlobalFoundries е на подобен курс в сравнение с пътните карти на конкуриращи се fabs, като TSMC или Samsung.

Но за други приложения компанията се фокусира върху това, което нарича FDX, нейната марка за напълно изчерпана технология на силикон върху изолатора. Това е планова технология, което означава, че тя не използва 3D транзистори, и Патън заяви, че предоставя по-изгодно решение за мобилни процесори от нисък и среден клас, както и за процесори за Интернет на нещата и много автомобилни приложения. Докато част от изследванията за това се случват в Малта, процесът на FDX се организира най-вече в Дрезден, Германия. Текущата работа по този процес е в това, което GlobalFoundries нарича своя 22nm FDX възел; това ще бъде планирано да премине към 12 nm процес през следващата година.

Коулфийлд отбеляза, че "свиването не е достатъчно" и че за да премине към следващия възел, GlobalFoundries също трябва да предложи повече производителност и да донесе реална стойност на клиентите. Той отбеляза, че фирмата прескочи 20 nm и това, което другите наричат ​​10nm, за да се съсредоточи върху 7nm и каза, че този възел предлага 30 до 45 процента пряко намаляване на разходите в сравнение с 14 nm, компенсирано донякъде от необходимостта от повече маски за допълнителните стъпки, изисквани от мулти- моделиране.

Каулфийлд отбеляза, че повече от половината от приходите на фирмата остават на по-стари технологични възли, като 28 и 40 nm възли. Сингапурският завод на фирмата е фокусиран върху 40 nm и по-стари процеси, а Dresden произвежда на 22 nm и по-стари. Междувременно всичко в Малта е фокусирано върху 14nm и по-нови процеси.

На 7nm, каза Каулфийлд, компанията иска да бъде "бърз последовател", докато при FDX иска да бъде "разрушителен" фактор на пазара.

Патън отбеляза, че GlobalFoundries показа 7nm тестов чип през 2015 г., който разработи заедно с партньорите IBM и Albany NanoTech Complex. В 5nm, компанията говори за наношафти или транзистори за целия портал и се фокусира върху вътремодулната комуникация, използвайки 2.5D и 3D чип опаковки върху силиконови интерпостори за свързване на различни кубици за хидравлична и хибридна памет. Със своите партньори тя демонстрира 5nm тест чип миналата година.

Години наред съм впечатлен от това доколко индустрията за производство на чипове успя да се подобри. Трудно е да се мисли за друга индустрия, която се движи толкова бързо и толкова бързо - а работата на производители на инструменти като ASML и файлове като GlobalFoundries е просто невероятна. Предизвикателствата, с които се сблъскват при реализирането на още по-бързи чипове и по-плътните дизайни, са все по-трудни, но посещението ми ми напомни както за сложността на най-модерните процеси, така и за напредъка, който продължаваме да виждаме.

Колко вероятно е да препоръчате PCMag.com?
Обещанията и предизвикателствата на euv в глобалните граници